(11)Xilinx BRAM或者ROM初始化文件.COE制作(FPGA不积跬步101)

名言:成功的捷径就是努力。

1 什么是COE文件?

COE文件是一种ASCII文本文件,文件头部定义数据基数(Radix),可以时2、10或16。数据以向量的形式给出,向量以分号结尾,向量之间用逗号隔开。

2 COE文件有什么作用?

在某些IP核的配置中,需要使用COE(Coefficient)文件来传递参数。例如Xilinx COE文件用来初始化Block RAM或者ROM内容。Vivado会解析COE文件格式,并在生成IP核时导出相关的MIF格式文件,用于行为级仿真。

3 COE文件格式

COE文件中的数据格式可以是2(Binary),10(Decimal)或者16(Hex)。
memory_initialization_radix=2;
memory_initialization_vector=
0,
0,
1,
0,
0,
1,
0,
1;
注意:前面的2行不要动,RADIX后面的数字表示的是进制,这里取2进制,结尾用的是分号。

4 结束语

如果遇到问题,可以一起沟通讨论,邮箱:[email protected]

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/106677094