基于Xilinx Spartan-7 FPGA实现AD7606-8接口

1 引言

1)AD7606作为新一代同步采样 ADC 芯片,多通道的集成可方便实现智能化变电站设备中的多路电流和电压的测量和监控。
2)AD7606为16位8通道同步采样模数数据采集系统,可以满足测井过程中常用模拟信号的采集要求。
3)AD7606的数字接口可以配置在并行或串行模式。串行模式为SPI接口进行数据采集读取,并行模式为传统的并行总线(数据总线16位),本文是基于并行模式进行ADC接口逻辑设计。

2 逻辑设计

基于FPGA实现AD7606接口主要分为两个模块。AD7606接口模块和AD7606用户模块。

2.1 AD7606接口模块

该模块主要负责采集8通道模拟数据。该模块逻辑设计较为简单,主要是根据AD时序编写代码即可。笔者使用三段式状态机进行时序控制。主要分为4个状态,分别为状态0---状态4。

状态0:空状态;

状态1:采集开始;

状态2:采集等待;

状态3:采集数据;

状态4:采集数据结束。

2.2 AD7606用户模块

该模块主要负责接收采集8通道模拟数据并进行FIFO缓存以及进行跨时钟域处理。该模块主要进行读写FIFO操作。使用2个状态机完成读写操作。

写状态机:负责写FIFO;

读状态机:负责读FIFO。

说明:由于读写FIFO时钟不一致,所以需要两个状态机。

3 逻辑验证

3.1 仿真验证

仿真软件为modelsim,通过编写测试激励,采集8路数据,验证了逻辑代码功能正确性。

3.2 调试验证

调试软件vivado,通过使用在线逻辑分析仪,抓取8路采集数据,验证了AD采集与仿真波形一致,验证了逻辑的功能的正确性。
说明:ADC 8个模拟通道接+-5V或者

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/107754348