UVM验证之UVM_INFO的用法

UVM验证之UVM_INFO的用法

其实关于uvm_info的用法网上的资料有很多,大多都是你抄抄我抄抄。

把简单的问题搞复杂,最后浪费大家的时间。

今天我说一些,如何用uvm_info来调试我们的代码。

`uvm_info(TAG, “SETUP SIMULATION DEBUG1”, UVM_NONE)

`uvm_info(TAG, “SETUP SIMULATION DEBUG2”, UVM_NONE)

以此类推,这就是最直接的用法!
上面的代码有三个参数!第一参数:就是自己定义的字符串!第二个参数:就是我们想要打印的信息!第三个参数:冗余级别,通常设置成UVM_NONE。当然也可以设置成别的级别!你只要记住一点,UVM_NONE(always打印)。

猜你喜欢

转载自blog.csdn.net/weixin_46259642/article/details/114885055