FPGA试题四

*1,下列关于亚稳态描述错误的是(D)。
A、电路处于中间状态的时间变长,使得电路“反应"迟钝的现象,叫做亚稳态
B、对于单比特控制信号采用二级触发器缓冲,可以几乎消除亚稳态
C、对于多比特数据可以采用握手的方式来消除亚稳态
D、异步FIFO不能用于解决亚稳态问题
*2,下列优化方法中哪两种是提高运行速度(速度优化)方法: (D)。
①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法
A、15
B、23
C、26
D、16
*3.在FPGA设计中对时钟的使用错误的是(A)。
A、对时钟进行逻辑操作可增强时钟的稳定性
B、FPGA芯片有固定的时钟路由
C、需要对时钟进行相位移动或变频的时候建议使用FPGA芯片自带的时钟管理器
D、FPGA芯片自带的时钟管理器有减少时钟抖动和偏差的作用
*4,基于EDA软件的FPGA/CPLD设计流程为(A):
A、原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载-测试。
B、原理图/HDL文本输入→时序仿真→综合→适配→功能仿真→编程下载→测试。
C、原理图/HDL文本输入→功能仿真→适配→综合→时序仿真→编程下载→测试。
D、原理图/HDL文本输入→功能仿真→时序仿真→综合→适配→编程下载→测试。
*5.大规模可编程器件主要有CPLD和FPGA两类,下面对FPGA结构与工作原理描述中,正确的是(C)
A、FPGA全称为复杂可编程逻辑器件
B、FPGA是基于哈佛结构的可编程逻辑器件
C、基于SRAM的FPGA器件,每次上电后必须进行一次配置
D、在Intel公司生产的器件中,MAX7000系列属于FPGA结构
6,已知reg的setup,hold时间,时钟周期为
period,则中间组合逻辑的delay范围为(A) 。
A、delay < period -setup - hold
B、delay > period -setup -hold
C、delay > period + setup + hold
D、delay < period-setup + hold
*7.下列EDA软件中,哪一个不具有逻辑综合功能(B)。
A、Max+Plus II
B、ModelSim
C、Quartus II
D、Synplify
*8.在处理常数乘法的时候,若还是使用乘法器将会造成大量的不必要的面积开销,可以使用移位加法代替,若要实现b*10,则下列最优选项为(C)。
A、b*10
B、(b+b+b+b+b) <<1
C、b<<3+b<<1
D、b<<4-b<<2-b<<1
*9. Intel Cyclone器件不支持的配置方式(C)。
A、JTAG模式
B、PS模式
C、32位并行配置
D、AS模式
*10,针对Verilog HDL语言中的case语句说法不正确的是(B)。
A、case语句表达式的取值可以超出语句下面列出的值的范围
B、语句各分支表达式允许同时满足case表达式的值
C、条件语句中的选择值需要完整覆盖表达式的取值范围
D、保险起见, case语句最后分枝最好都加上default语句
*11,关于阻塞赋值和非阻塞赋值描述正确的是(B)。
A、设计时序电路时应尽量使用阻塞赋值方式
B、设计组合逻辑电路时建议使用阻塞赋值
C、可以在两个或者两个以上的always过程中对同一变量赋值
D、对同一个变量可以既进行阻塞赋值,又进行非阻塞赋值
*12,实现下列结果正确表达式为(A)。
A、assign out=sel? in1 :in0
B、assign out=sel&& in1 &&in0
C、assign out=sellin1lin0
D、assign out=selll in1 &&ino
*13.目前FPGA设计输入,即设计方法有多种,以下哪个不是开发FPGA的方法(D)。
A、原理图式设计方法
B、VHDL语言描述设计方法
C、Verilog语言描述设计方法
D、在非嵌入式开发中,利用纯C语言设计描述
*14,以下代码描述的是(A) 。
module test(Q,D,CLK)
input D,CLK;
output Q;
reg Q;
always @ (posedge CLK)
begin Q <= D; end
endmodule
A、基本D触发器
B、锁存器
C、加法器
D、乘法器
* 15. a=5'b11001; b=3'b101;则c=a&b的结果为(B)
A、3'b001
B、5'b00001
C、5'b11001
D、5'b11111
*16,下列异或操作结果错误的是(A)。
A、0 XOR 1=0
B、0 XOR 0=0
C、1 XOR 1=0
D、1 XOR 0=1
*17. INTEL FPGA芯片不包括(B)。
A、Cyclone V
B、Kintex-7
C、Arra
D、Stratix
*18,大规模可编程器件主要有CPLD和FPGA两类,其中CPLD通过(C)实现其逻辑功能。
A、查找表(LUT)
B、输入缓冲
C、可编程乘积项逻辑
D、输出缓冲
*19,如果网线型变量说明后未使用,那么其缺省值为(C)。
A、0
B、1
C、z
D、x
*20,以下代码描述的是(A)。
module test(Q,D,CLK)
input D,CLK;
output Q;
reg Q;
always @ (posedge CLK)
begin Q<= D; end
endmodule
A、基本D触发器
B、锁存器
C、加法器
D、乘法器
* 21. Intel FPGA都有以下哪几个系列(ABCD)。 【多选题】
A、Cyclone
B、Stratix
C、Arria
D、Agilex
*22. Cyclone V SoC FPGA里有以下哪些资源(ABCD)。 【多选题】
A、HPS (Hard Process System)
B、LAB
C、时钟资源
D、IОB
* 23. Intel FPGA中Nios I1可以挂载以下哪些IP核(ABCD)。【多选题】
A、PIO
B、UART
C、DMA
D、SDRAM控制器
*24,用于开发、设计、调试包含Intel FPGA软核处理器的FPGA系统,可能会用到以下哪些工具(ABCD)。 【多选题】
A、Qsys
B、Eclipse
C、Altera-Modelsim
D、Quartus
*25,以下属于常见的Avalon总线接口的是哪些(AB)。 【多选题】
A、Avalon-MM
B、Avalon-ST
C、Avalon-XX
D、AXI
26,以下哪些是SoC FPGA芯片(AB)。 【多选题】
A、5CSEBA2U19C7
B、5CSXFC6D6F31C6
C、EP4CGX30CF1917
D、EP4CE10E22C8
*27,以下哪些FPGA芯片是可以使用Nios软核处理器的(ABCD)。【多选题】
A、5CSEBA2U19C7
B、5CSXFC6D6F31C6
C、EP4CGX30CF1917
D、EP4CE10E22C8
* 28. Cyclone V Soc硬核处理器系统设计中会包含哪些接口或总线(ABCD)。 【多选题】
A、AXI-FPGA to HPS
B、AXI-HPS to FPGA
C、AXI-Low Height
D、Avalon-MM
*29.以下哪些语言可以用于FPGA设计(AB)。【多选题】
A、VHDL
B、Verilog
C、Java
D、С#
30.以下表示十进制155的是(ABCD)。【多选题】
A、16'd155
B、16'h9B
C、16'0233
D、16'b10011011
*31. FPGA的全称是Field-Programmable GateArray。√


*32. FPGA的基本可编程逻辑单元是由查找表和寄存器组成的,查找表完成纯组合逻辑功能。√


*33. wire [n:0] a;表示声明了一个位宽为n的信号。×


* 34. {a,{2{c,d}}}等同于{a,c,c,d,d}。×


*35,以下代码实现了一个3-8译码器。×
module test(clk,reset,en,in,out);
iput clk,reset,en,in;

output[3:0] out;

reg[3:0] out;

always @(posedge clk)
begin if(reset) out<=4'h0;
else if(en) out<={out,in};
end
endmodule


*36. FPGA内部结构组成部分一般分为三部分:可编程逻辑块(CLB) 、可编程/0模块和可编程内部连线。√


*37,功能仿真与时序仿真的结果是完全一致的。×


*38,使用Intel FPGA进行设计时应避免使用全局时钟网络,从而保证更好的时钟性能。×


39. if-else语句与case语句都具有优先级。×


*40. 1234abc可以作为标识符。×


*41.SoC系统又称为(芯片级)系统。SOPC系统又称为(可缩程片上)系统。
*42. FPGA中的LUT一般都是用来实现(组合)逻辑功能。
*43.如果ain=3'b011, bin=3'b110,则{ain,bin}为(6'b011110)。
*44. assign ain = (bin >4'd3) ? 1:0;如果bin的值为6,则ain上的值为(1)。
*45.竞争与冒险通常出现在(组合)逻辑电路中。
*46. SoC技术含义是什么?什么是SOPC?
SOC就是将微处理器、模拟IP核、数字IP核和存储器(或片外存储控制接口)、数据通路、与外部系统的数据接口等部件集成在单一芯片上。
SOPC就是基于可编程逻辑器件的SOC设计方案
*47. FPGA基于么构的可编程逻辑器件?其基本结构由哪几部分组成?
FPGA是基于SRAM查找表的可编程结构。
FPGA的核心部分是逻辑单元阵列LCA,LCA是由内部逻辑块矩阵和周围/O接口模块组成。LCA内部连线在逻辑块的行列之间,占据逻辑块VO接口模块之间的通道,可以由可编程开关以任意方式连接形成逻辑单元之间的互连。

猜你喜欢

转载自blog.csdn.net/spartan6/article/details/121312222