verilog技巧1

若想取得数据中心值,可以设置一个两倍于采样时钟的时钟,这样就可以实现采样时钟在中点采样了在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_44716774/article/details/106404876