Modbus函数接口及编程流程

函数接口

modbus_t* modbus_new_tcp(const char *ip, int port)

功能:以TCP方式创建Modbus实例,并初始化

参数:

ip :ip地址

port:端口号

返回值:成功:Modbus实例

失败:NULL

int modbus_set_slave(modbus_t *ctx, int slave)

功能:设置从机ID

参数:

ctx :Modbus实例

slave:从机ID

返回值:成功:0

失败:-1

int modbus_connect(modbus_t *ctx)

功能:和从机(slave)建立连接

参数:

ctx:Modbus实例

返回值:成功:0

失败:-1

void modbus_free(modbus_t *ctx)

功能:释放Modbus实例

参数:ctx:Modbus实例

void modbus_close(modbus_t *ctx)

功能:关闭套接字

参数:ctx:Modbus实例

int modbus_read_bits(modbus_t *ctx, int addr, int nb, uint8_t *dest)

功能:读取线圈状态,可读取多个连续线圈的状态(对应功能码为0x01

参数:

ctx :Modbus实例

addr :寄存器起始地址

nb :寄存器个数

dest :得到的状态值

int modbus_read_input_bits(modbus_t *ctx, int addr, int nb, uint8_t *dest)

功能:读取输入状态,可读取多个连续输入的状态(对应功能码为0x02

参数:

ctx :Modbus实例

addr :寄存器起始地址

nb :寄存器个数

dest :得到的状态值

返回值:成功:返回nb的值

int modbus_read_registers(modbus_t *ctx, int addr, int nb, uint16_t *dest)

功能:读取保持寄存器的值,可读取多个连续保持寄存器的值(对应功能码为0x03

参数:

ctx :Modbus实例

addr :寄存器起始地址

nb :寄存器个数

dest :得到的寄存器的值

返回值:成功:读到寄存器的个数

失败:-1

int modbus_read_input_registers(modbus_t *ctx, int addr, int nb, uint16_t *dest)

功能:读输入寄存器的值,可读取多个连续输入寄存器的值(对应功能码为0x04

参数:

ctx :Modbus实例

addr :寄存器起始地址

nb :寄存器个数

dest :得到的寄存器的值

返回值:成功:读到寄存器的个数

失败:-1

int modbus_write_bit(modbus_t *ctx, int addr, int status);

功能:写入单个线圈的状态(对应功能码为0x05

参数:

ctx :Modbus实例

addr :线圈地址

status:线圈状态

返回值:成功:0

失败:-1

int modbus_write_bits(modbus_t *ctx, int addr, int nb, const uint8_t *src);

功能:写入多个连续线圈的状态(对应功能码为15

参数:

ctx :Modbus实例

addr :线圈地址

nb :线圈个数

src :多个线圈状态

返回值:成功:0

失败:-1

int modbus_write_register(modbus_t *ctx, int addr, int value);

功能: 写入单个寄存器(对应功能码为0x06

参数:

ctx :Modbus实例

addr :寄存器地址

value :寄存器的值

返回值:成功:0

失败:-1

int modbus_write_registers(modbus_t *ctx, int addr, int nb, const uint16_t *src);

功能:写入多个连续寄存器(对应功能码为16

参数:

ctx :Modbus实例

addr :寄存器地址

nb :寄存器的个数

src :多个寄存器的值

返回值:成功:0

失败:-1

编程流程

1.创建实例

modbus_new_tcp

2.设置从机ID

modbus_set_slave

3.和从机连接

modbus_connect

4.寄存器操作

功能码对应函数

5.关闭套接字

modbus_close

6.释放实例

modbus_free

猜你喜欢

转载自blog.csdn.net/m0_68672255/article/details/130413885