MATLAB产生供Quartus II 中的ROM使用的mif文件

 例程是产生128点的高斯信号,可以根据需要修改产生的波形,数据存储到mif文件的方法相同。

clc
clear all
close all
x=-0.0017;
Peak=100000;
N=500;
width=12;

for i=-64:64
    a(i+65)=exp(x*i*i);
end

for i=1:129
    gaosi_sig(i)=round(Peak*a(i))-1;
end

gaosi_sig =floor((gaosi_sig/max(gaosi_sig))*(2^(width-1)-1));

fid=fopen('gaosi.mif','w');
fprintf(fid,'WIDTH=12;\n');
fprintf(fid,'DEPTH=256;\n');
fprintf(fid,'ADDRESS_RADIX=UNS;\n');
fprintf(fid,'DATA_RADIX=DEC;\n');
fprintf(fid,'CONTENT BEGIN\n');
for i=1:129
    fprintf(fid,'\t%d:%d;\n',i-1,gaosi_sig(i));
end
fprintf(fid,'END\n');

完成之后,可以将mif文件导入ROM的IP核中,就可以了~~~

猜你喜欢

转载自blog.csdn.net/jiangla2577/article/details/81175441