项目中经典的栈溢出例子

栈 堆 静态区域介绍:
静态存储区:内存在程序编译的时候就已经分配好,这块内存在程序的整个运行期间都存在。它主要存放静态数据、全局数据和常量。

栈区:在执行函数时,函数内局部变量的存储单元都可以在栈上创建,函数执行结束时这些存储单元自动被释放。栈内存分配运算内置于处理器的指令集中,效率很高,但是分配的内存容量有限。

堆区:亦称动态内存分配。程序在运行的时候用malloc或new申请任意大小的内存,程序员自己负责在适当的时候用free或delete释放内存。动态内存的生存期可以由我们决定,

如果我们不释放内存,程序将在最后才释放掉动态内存。 但是,良好的编程习惯是:如果某动态内存不再使用,需要将其释放掉,否则,我们认为发生了内存泄漏现象。

遇到的一个经典的栈溢出例子:
在 MotorControl_thread 中 调用

MotorDrv8308Initialize
{
    UpdateRegisters()


}
    UpdateRegisters()
{
    FaultSignalDecideOperation()
}

 FaultSignalDecideOperation()
{

    MotorDrv8308Initialize()

}

 MotorDrv8308Initialize()
{
    if(G_FAULT_REG.VMOV  ==1 )           //    12V mos管   过压保护
                    {

                                gt_MotorOperation.DeviceFaultSignal            =  1;
                                gt_ParameterVal.SystemFlag.DeviceErrCode    =enum_DeviceErr_MotorOverVoltage;
                                termp_erro++; 
                                 debug_Motor(" Happen   MotorErrEvent_OverrVoltage \r\n");
                                // 当发生故障时,禁止操作电机
                                 gt_MotorOperation.MotorEnableOperation(EnableDisable);
                                 gt_MotorOperation.enum_MotorExeCommand  = MotorNoOperation ;

                                 osDelay(1000);
                                 // 重新初始化drv8308 芯片
                                 MotorDrv8308Initialize();

                           gt_MotorOperation.MotorEnableOperation(EnableDisable);
                         osDelay(100); 
                           gt_MotorOperation.MotorEnableOperation(EnableEnable);


                                    gt_Can1Operation.Can1TxDataByProtocal( gt_Can1Operation.t_Can1Addr.Can1Addr  ,2,MasterCommand_UploadMotorFaultInfo,& gt_ParameterVal.SystemFlag.DeviceErrCode );

                    }


}

   MotorControl_thread---->>>>>MotorDrv8308Initialize---->>>>>  UpdateRegisters()-------->>>>> FaultSignalDecideOperation()的 下面函数-------------->>>>> MotorDrv8308Initialize()

猜你喜欢

转载自blog.csdn.net/tiger15605353603/article/details/81380295