line,tiggke,fsm,condition,branch,assert coverage

Line coverage统计的一般是模块里面赋值行的覆盖率。

toggle统计的是模块里面的端口和信号的赋值翻转率(0->1, 1->0)。

FSM统计的是模块里状态寄存器的所有跳转可能的覆盖率。

condition统计的是模块内的条件赋值覆盖率,如assign x = enable ? y : z; 统计enable为0和1的情况。

branch统计的是模块内if...else...分支的覆盖情况。

assert统计的是模块内的assertion。

猜你喜欢

转载自www.cnblogs.com/lybinger/p/10018967.html