FPGA中浮点运算实现方法——定标,fpga浮点运算

有些FPGA中是不能直接对浮点数进行操作的,只能采用定点数进行数值运算。对于FPGA而言,参与数学运算的书就是16位的整型数,但如果数学运算中出现小数怎么办呢?要知道,FPGA对小数是无能为力的,一种解决办法就是采用定标。数的定标就是将要运算的浮点数扩大很多倍,然后取整,再用这个数进行运算,运算得到的结果再缩小相应的倍数就可以了。在设计中,一定不要忘记小数点。在FPGA 中是体现不出来小数点的,小数点的位置只有程序员知道。Q表示小数点的位置,Q15就表示小数点在第15位。

浮点数(x)转换为定点数(xq): xq=(int)x*2^Q

定点数(xq)转换浮点数(x): x= (float)xq*2^(-Q)

比如,16进制数2000H,用Q0表示就是8192;若用Q15表示,则为0.25。

下面介绍Q格式运算中Q值的确定:

(1)定点加减法:需要转换成相同Q格式才能加减

(2)定点乘法:不同Q格式的数据相乘,相当于Q值相加

(3)定点除法:不同Q格式的数据相除,相当于Q值相减

(4)定点左移:相当于Q值增加

(5)定点右移:相当于Q值减少

比如,Q15表示的4000H(浮点数0.5)乘以Q15表示的4000H,4000H×4000H=1000 0000H,乘完之后Q值变为15+15=30,即结果为0.01B,即为浮点数0.25。

扫描二维码关注公众号,回复: 6470320 查看本文章

fpga 怎进行浮点运算

将浮点数乘以2的n次方,换成整数计算 ,最后在缩小。只能做到近似。
 

FPGA助,利用verilog实现浮点数的计算

DSP的小数格式是什么样的。是定点数还是浮点数格式。如果是定点数,可以通过FPGA的定点转浮点IP core进行转换,然后调用的浮点计算的IP core就行了,有浮点计算的加减乘除。

猜你喜欢

转载自blog.csdn.net/l471094842/article/details/90812553