VHDL中的有限状态机

数字设计中的核心:有限状态机(Finite State Machines , FSM)

状态机有两种类型,第一是Moore类型,状态机的输出完全由状态变量决定;第二种是Mealy类型,状态机的输出与状态变量和输入都有关。

 

描述状态机的方法时通过状态迁移图,可以表示状态输出和转移条件,根据不同状态机类型和图来进行编程。

通过将状态机的状态描述成枚举类型,然后在进程中进行条件的判断和状态的迁移来完成状态机编程。

发布了22 篇原创文章 · 获赞 34 · 访问量 4911

猜你喜欢

转载自blog.csdn.net/qq_34071822/article/details/103964968