[SVA]總線斷言函數 --- BUS Check function of SVA

       前言:总线就是好多根bit 线,共同表示一个数。SVA 提供了多bit 状态一起判断的函数,即总线断言函数:

       (1) $onehot(BUS)                       ————BUS 中有且仅有1 bit 是高,其他是低。
       (2) $onehot0(BUS)                     ————BUS 中有不超过1 bit 是高,也允许全0。
       (3) $isunknown(BUS)                 ————BUS 中存在高阻态或未知态。
       (4) $countones(BUS) == n         ————BUS 中有且仅有n bits 是高,其他是低。

发布了140 篇原创文章 · 获赞 81 · 访问量 4万+

猜你喜欢

转载自blog.csdn.net/gsjthxy/article/details/104759236