SVA介绍(二)

编写完sva环境之后,我们就可以运行用例,以验证是否存在违例的情况。默认下,只会打印fail的场景,想获得success的信息,需要在编译选项中添加-assert enable_diag,在运行选项中添加-assert success.这样便可以在log中看到对应的信息,波形中可以看到fail的信息(success信息选项没有找到).
以下代码是对周期为16的脉冲信号做断言和cover,效果如下:

在这里插入图片描述
在这里插入图片描述
从log中可以看出对于断言有fail和success区分,可以对这些关键字匹配判断用例是否pass
在这里插入图片描述
从波形中可以看到fail的断言会表示为箭头向下.

发布了22 篇原创文章 · 获赞 3 · 访问量 755

猜你喜欢

转载自blog.csdn.net/weixin_39662684/article/details/105007451