systemverilog 哈希数组

program file_read;
integer file;
string  variable;
reg [31:0] value;
reg [31:0] h_array [string] ;
initial
begin:file_block
        file=$fopen("file.txt","r");
        if (file == 0)

                disable file_block;

        while(!$feof(file))
        begin
                $fscanf(file,"%s %h/n",variable,value);
                h_array[variable] = value;
        end

        $fclose(file);


        //check read result.
        foreach (h_array[i])
        begin
                $display("hash index %0s value %0h",i, h_array[i]);
        end
end
endprogram

猜你喜欢

转载自www.cnblogs.com/littleMa/p/10795759.html